请设计状态机电路,实现自动售卖机功能,A 饮料5 元钱,B 饮料10 元钱,售卖机可接收投币5 元钱和10 元钱,每次投币只可买一种饮料,考虑找零的情况。 电路的接口如下图所示。sel 信号会先于din 信号有效,且在购买一种饮料时值不变。 sel 为选择信号,用来选择购买饮料的种类,sel=0 ,表示购买A 饮料,sel=1 ,表示购买B 饮料; din 表示投币输入,din=0 表示未投币,din=1 表示投币5 元,din=2 表示投币10 元,不会出现din=3 的情况; drinks_out 表示饮料输出,drinks_out=0 表示没有饮料输出,drinks_out=1 表示输出A 饮料,drinks_out=2 表示输出B 饮料,不出现drinks_out =3 的情况,输出有效仅保持一个时钟周期; change_out 表示找零输出,change_out=0 表示没有找零,change_out=1 表示找零5 元,输出有效仅保持一个时钟周期。 接口电路图如下:

区块链毕设网qklbishe.com为您提供问题的解答

请设计状态机电路,实现自动售卖机功能,A饮料5元钱,B饮料10元钱,售卖机可接收投币5元钱和10元钱,每次投币只可买一种饮料,考虑找零的情况。

电路的接口如下图所示。sel信号会先于din信号有效,且在购买一种饮料时值不变。

  • sel为选择信号,用来选择购买饮料的种类,sel=0,表示购买A饮料,sel=1,表示购买B饮料;
  • din表示投币输入,din=0表示未投币,din=1表示投币5元,din=2表示投币10元,不会出现din=3的情况;
  • drinks_out表示饮料输出,drinks_out=0表示没有饮料输出,drinks_out=1表示输出A饮料,drinks_out=2表示输出B饮料,不出现drinks_out =3的情况,输出有效仅保持一个时钟周期;
  • change_out表示找零输出,change_out=0表示没有找零,change_out=1表示找零5元,输出有效仅保持一个时钟周期。
接口电路图如下:
请设计状态机电路,实现自动售卖机功能,A 饮料5 元钱,B 饮料10 元钱,售卖机可接收投币5 元钱和10 元钱,每次投币只可买一种饮料,考虑找零的情况。    	电路的接口如下图所示。sel 信号会先于din 信号有效,且在购买一种饮料时值不变。    	 		 			sel 为选择信号,用来选择购买饮料的种类,sel=0 ,表示购买A 饮料,sel=1 ,表示购买B 饮料; 		 		 			din 表示投币输入,din=0 表示未投币,din=1 表示投币5 元,din=2 表示投币10 元,不会出现din=3 的情况; 		 		 			drinks_out 表示饮料输出,drinks_out=0 表示没有饮料输出,drinks_out=1 表示输出A 饮料,drinks_out=2 表示输出B 饮料,不出现drinks_out =3 的情况,输出有效仅保持一个时钟周期; 		 		 			change_out 表示找零输出,change_out=0 表示没有找零,change_out=1 表示找零5 元,输出有效仅保持一个时钟周期。 		 	 	 		接口电路图如下:

承接区块链项目定制开发

微信:btc9767

QQ :1330797917

TELEGRAM: BTCOK9

承接区块链项目定制开发


qklbishe.com区块链毕设代做网专注|以太坊fabric-计算机|java|毕业设计|代做平台-javagopython毕设 » 请设计状态机电路,实现自动售卖机功能,A 饮料5 元钱,B 饮料10 元钱,售卖机可接收投币5 元钱和10 元钱,每次投币只可买一种饮料,考虑找零的情况。 电路的接口如下图所示。sel 信号会先于din 信号有效,且在购买一种饮料时值不变。 sel 为选择信号,用来选择购买饮料的种类,sel=0 ,表示购买A 饮料,sel=1 ,表示购买B 饮料; din 表示投币输入,din=0 表示未投币,din=1 表示投币5 元,din=2 表示投币10 元,不会出现din=3 的情况; drinks_out 表示饮料输出,drinks_out=0 表示没有饮料输出,drinks_out=1 表示输出A 饮料,drinks_out=2 表示输出B 饮料,不出现drinks_out =3 的情况,输出有效仅保持一个时钟周期; change_out 表示找零输出,change_out=0 表示没有找零,change_out=1 表示找零5 元,输出有效仅保持一个时钟周期。 接口电路图如下: