对于systemverilog,下面对于代码解析不正确的是: package c;    typedef enum {     A=2‘b01, B=2‘b01, C=2‘b02     } INST;  endpackage import c::*;   module controller (input INST instruction,…);           enum {WAITE, LOAD, STORE} State, NextState;     always_comb begin     if(State == LOAD && instruction == C)  //使用label     …   end   endmodule

区块链毕设网qklbishe.com为您提供问题的解答

对于systemverilog,下面对于代码解析不正确的是:
package c;
   typedef enum {
    A=2‘b01, B=2‘b01, C=2‘b02  
  } INST;
 endpackage
import c::*; 
 module controller (input INST instruction,…);       
   enum {WAITE, LOAD, STORE} State, NextState;    
always_comb begin
    if(State == LOAD && instruction == C)  //使用label
    …
  end
 
endmodule
是因为第一个枚举类型变量A,B都赋值了2‘b01 所以错误吗?
34:32

以上就是关于问题对于systemverilog,下面对于代码解析不正确的是: package c;    typedef enum {     A=2‘b01, B=2‘b01, C=2‘b02     } INST;  endpackage import c::*;   module controller (input INST instruction,…);           enum {WAITE, LOAD, STORE} State, NextState;     always_comb begin     if(State == LOAD && instruction == C)  //使用label     …   end   endmodule的答案

欢迎关注区块链毕设网-
专业区块链毕业设计成品源码,定制。

区块链NFT链游项目方科学家脚本开发培训

承接区块链项目定制开发

微信:btc9767

QQ :1330797917

TELEGRAM: BTCOK9

承接区块链项目定制开发


qklbishe.com区块链毕设代做网专注|以太坊fabric-计算机|java|毕业设计|代做平台-javagopython毕设 » 对于systemverilog,下面对于代码解析不正确的是: package c;    typedef enum {     A=2‘b01, B=2‘b01, C=2‘b02     } INST;  endpackage import c::*;   module controller (input INST instruction,…);           enum {WAITE, LOAD, STORE} State, NextState;     always_comb begin     if(State == LOAD && instruction == C)  //使用label     …   end   endmodule